Base Package:
mingw-w64-python-debugpy

Description:
An implementation of the Debug Adapter Protocol for Python (mingw-w64)
Group(s):
-
Repo:
ucrt64
Homepage:
https://github.com/microsoft/debugpy
License(s):
MIT
Version:
1.8.1-1
GIT Version:
1.8.1-1
Anitya:
python-debugpy
Arch Linux:
1.8.1
Gentoo:
1.8.0
PyPI:
1.8.1
Repology:
python-debugpy

Installation:
pacman -S mingw-w64-ucrt-x86_64-python-debugpy
File:
https://mirror.msys2.org/mingw/ucrt64/mingw-w64-ucrt-x86_64-python-debugpy-1.8.1-1-any.pkg.tar.zst
SHA256:
35305ac1349bccaded18d7f798ce5564ec97add5769fee746d1760c20184ada9
Last Packager:
CI (msys2/msys2-autobuild/d4515ba2/7854341113)
Build Date:
2024-02-10 10:44:54
Package Size:
2.00 MB
Installed Size:
17.36 MB

Dependencies:
Optional Dependencies:
-
Build Dependencies:
Check Dependencies:
Provides:
-
Conflicts:
-
Replaces:
-

Provided By:
-
Required By:

Files:
/ucrt64/lib/python3.11/site-packages/debugpy-1.8.1.dist-info/LICENSE
/ucrt64/lib/python3.11/site-packages/debugpy-1.8.1.dist-info/METADATA
/ucrt64/lib/python3.11/site-packages/debugpy-1.8.1.dist-info/RECORD
/ucrt64/lib/python3.11/site-packages/debugpy-1.8.1.dist-info/WHEEL
/ucrt64/lib/python3.11/site-packages/debugpy-1.8.1.dist-info/top_level.txt
/ucrt64/lib/python3.11/site-packages/debugpy/ThirdPartyNotices.txt
/ucrt64/lib/python3.11/site-packages/debugpy/__init__.py
/ucrt64/lib/python3.11/site-packages/debugpy/__main__.py
/ucrt64/lib/python3.11/site-packages/debugpy/__pycache__/__init__.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/__pycache__/__init__.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/__pycache__/__main__.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/__pycache__/__main__.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/__pycache__/_version.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/__pycache__/_version.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/__pycache__/public_api.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/__pycache__/public_api.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/__init__.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/__pycache__/__init__.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/__pycache__/__init__.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/__pycache__/_pydevd_packaging.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/__pycache__/_pydevd_packaging.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/__pycache__/_util.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/__pycache__/_util.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/__pycache__/force_pydevd.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/__pycache__/force_pydevd.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/_pydevd_packaging.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/_util.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/force_pydevd.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydev_app_engine_debug_startup.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydev_app_engine_debug_startup.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydev_coverage.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydev_coverage.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydev_pysrc.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydev_pysrc.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydev_run_in_console.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydev_run_in_console.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydevconsole.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydevconsole.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydevd.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydevd.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydevd_file_utils.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydevd_file_utils.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydevd_tracing.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/pydevd_tracing.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/setup_pydevd_cython.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/__pycache__/setup_pydevd_cython.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__init__.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/__init__.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/__init__.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_calltip_util.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_calltip_util.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_completer.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_completer.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_execfile.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_execfile.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_filesystem_encoding.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_filesystem_encoding.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_getopt.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_getopt.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_imports_tipper.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_imports_tipper.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_jy_imports_tipper.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_jy_imports_tipper.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_log.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_log.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_saved_modules.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_saved_modules.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_sys_patch.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_sys_patch.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_tipper_common.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/_pydev_tipper_common.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_console_utils.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_console_utils.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_import_hook.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_import_hook.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_imports.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_imports.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_ipython_console.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_ipython_console.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_ipython_console_011.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_ipython_console_011.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_is_thread_alive.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_is_thread_alive.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_localhost.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_localhost.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_log.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_log.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_monkey.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_monkey.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_monkey_qt.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_monkey_qt.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_override.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_override.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_umd.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_umd.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_versioncheck.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/__pycache__/pydev_versioncheck.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/_pydev_calltip_util.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/_pydev_completer.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/_pydev_execfile.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/_pydev_filesystem_encoding.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/_pydev_getopt.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/_pydev_imports_tipper.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/_pydev_jy_imports_tipper.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/_pydev_log.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/_pydev_saved_modules.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/_pydev_sys_patch.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/_pydev_tipper_common.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/fsnotify/__init__.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/fsnotify/__pycache__/__init__.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/fsnotify/__pycache__/__init__.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/pydev_console_utils.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/pydev_import_hook.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/pydev_imports.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/pydev_ipython_console.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/pydev_ipython_console_011.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/pydev_is_thread_alive.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/pydev_localhost.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/pydev_log.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/pydev_monkey.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/pydev_monkey_qt.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/pydev_override.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/pydev_umd.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_bundle/pydev_versioncheck.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__init__.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/__init__.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/__init__.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_coverage.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_coverage.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_nose.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_nose.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_parallel.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_parallel.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_parallel_client.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_parallel_client.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_pytest2.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_pytest2.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_unittest.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_unittest.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_xml_rpc.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/__pycache__/pydev_runfiles_xml_rpc.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/pydev_runfiles.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/pydev_runfiles_coverage.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/pydev_runfiles_nose.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/pydev_runfiles_parallel.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/pydev_runfiles_parallel_client.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/pydev_runfiles_pytest2.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/pydev_runfiles_unittest.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydev_runfiles/pydev_runfiles_xml_rpc.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__init__.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/__init__.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/__init__.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevconsole_code.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevconsole_code.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_additional_thread_info.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_additional_thread_info.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_additional_thread_info_regular.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_additional_thread_info_regular.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_api.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_api.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_breakpoints.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_breakpoints.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_bytecode_utils.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_bytecode_utils.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_code_to_source.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_code_to_source.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_collect_bytecode_info.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_collect_bytecode_info.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_comm.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_comm.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_comm_constants.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_comm_constants.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_command_line_handling.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_command_line_handling.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_console.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_console.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_constants.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_constants.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_custom_frames.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_custom_frames.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_cython_wrapper.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_cython_wrapper.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_daemon_thread.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_daemon_thread.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_defaults.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_defaults.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_dont_trace.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_dont_trace.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_dont_trace_files.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_dont_trace_files.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_exec2.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_exec2.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_extension_api.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_extension_api.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_extension_utils.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_extension_utils.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_filtering.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_filtering.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_frame.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_frame.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_frame_utils.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_frame_utils.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_gevent_integration.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_gevent_integration.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_import_class.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_import_class.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_io.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_io.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_json_debug_options.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_json_debug_options.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_net_command.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_net_command.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_net_command_factory_json.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_net_command_factory_json.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_net_command_factory_xml.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_net_command_factory_xml.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_plugin_utils.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_plugin_utils.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_process_net_command.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_process_net_command.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_process_net_command_json.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_process_net_command_json.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_referrers.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_referrers.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_reload.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_reload.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_resolver.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_resolver.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_runpy.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_runpy.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_safe_repr.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_safe_repr.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_save_locals.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_save_locals.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_signature.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_signature.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_source_mapping.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_source_mapping.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_stackless.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_stackless.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_suspended_frames.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_suspended_frames.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_thread_lifecycle.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_thread_lifecycle.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_timeout.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_timeout.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_trace_api.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_trace_api.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_trace_dispatch.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_trace_dispatch.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_trace_dispatch_regular.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_trace_dispatch_regular.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_traceproperty.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_traceproperty.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_utils.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_utils.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_vars.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_vars.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_vm_type.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_vm_type.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_xml.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/__pycache__/pydevd_xml.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/__init__.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/__main__pydevd_gen_debug_adapter_protocol.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/__pycache__/__init__.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/__pycache__/__init__.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/__pycache__/__main__pydevd_gen_debug_adapter_protocol.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/__pycache__/__main__pydevd_gen_debug_adapter_protocol.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/__pycache__/pydevd_base_schema.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/__pycache__/pydevd_base_schema.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/__pycache__/pydevd_schema.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/__pycache__/pydevd_schema.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/__pycache__/pydevd_schema_log.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/__pycache__/pydevd_schema_log.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/debugProtocol.json
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/debugProtocolCustom.json
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/pydevd_base_schema.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/pydevd_schema.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/_debug_adapter/pydevd_schema_log.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevconsole_code.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_additional_thread_info.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_additional_thread_info_regular.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_api.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_breakpoints.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_bytecode_utils.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_code_to_source.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_collect_bytecode_info.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_comm.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_comm_constants.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_command_line_handling.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_concurrency_analyser/__init__.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_concurrency_analyser/__pycache__/__init__.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_concurrency_analyser/__pycache__/__init__.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_concurrency_analyser/__pycache__/pydevd_concurrency_logger.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_concurrency_analyser/__pycache__/pydevd_concurrency_logger.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_concurrency_analyser/__pycache__/pydevd_thread_wrappers.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_concurrency_analyser/__pycache__/pydevd_thread_wrappers.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_concurrency_analyser/pydevd_concurrency_logger.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_concurrency_analyser/pydevd_thread_wrappers.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_console.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_constants.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_custom_frames.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_cython.c
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_cython.pxd
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_cython.pyx
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_cython_wrapper.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_daemon_thread.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_defaults.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_dont_trace.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_dont_trace_files.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_exec2.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_extension_api.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_extension_utils.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_filtering.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_frame.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_frame_utils.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_gevent_integration.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_import_class.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_io.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_json_debug_options.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_net_command.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_net_command_factory_json.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_net_command_factory_xml.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_plugin_utils.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_process_net_command.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_process_net_command_json.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_referrers.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_reload.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_resolver.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_runpy.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_safe_repr.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_save_locals.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_signature.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_source_mapping.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_stackless.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_suspended_frames.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_thread_lifecycle.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_timeout.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_trace_api.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_trace_dispatch.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_trace_dispatch_regular.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_traceproperty.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_utils.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_vars.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_vm_type.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_bundle/pydevd_xml.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/.gitignore
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/__init__.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/__pycache__/__init__.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/__pycache__/__init__.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/__pycache__/pydevd_frame_eval_cython_wrapper.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/__pycache__/pydevd_frame_eval_cython_wrapper.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/__pycache__/pydevd_frame_eval_main.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/__pycache__/pydevd_frame_eval_main.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/__pycache__/pydevd_frame_tracing.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/__pycache__/pydevd_frame_tracing.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/__pycache__/pydevd_modify_bytecode.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/__pycache__/pydevd_modify_bytecode.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/pydevd_frame_eval_cython_wrapper.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/pydevd_frame_eval_main.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/pydevd_frame_evaluator.c
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/pydevd_frame_evaluator.pxd
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/pydevd_frame_evaluator.pyx
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/pydevd_frame_evaluator.template.pyx
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/pydevd_frame_tracing.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/pydevd_modify_bytecode.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/release_mem.h
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/README.txt
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/__init__.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/__pycache__/__init__.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/__pycache__/__init__.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/__pycache__/pydevd_fix_code.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/__pycache__/pydevd_fix_code.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode-0.13.0.dev0.dist-info/COPYING
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode-0.13.0.dev0.dist-info/INSTALLER
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode-0.13.0.dev0.dist-info/METADATA
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode-0.13.0.dev0.dist-info/RECORD
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode-0.13.0.dev0.dist-info/REQUESTED
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode-0.13.0.dev0.dist-info/WHEEL
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode-0.13.0.dev0.dist-info/direct_url.json
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode-0.13.0.dev0.dist-info/top_level.txt
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__init__.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/__init__.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/__init__.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/bytecode.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/bytecode.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/cfg.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/cfg.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/concrete.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/concrete.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/flags.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/flags.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/instr.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/instr.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/peephole_opt.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/__pycache__/peephole_opt.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/bytecode.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/cfg.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/concrete.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/flags.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/instr.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/peephole_opt.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__init__.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/__init__.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/__init__.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_bytecode.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_bytecode.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_cfg.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_cfg.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_code.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_code.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_concrete.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_concrete.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_flags.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_flags.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_instr.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_instr.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_misc.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_misc.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_peephole_opt.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/test_peephole_opt.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/util_annotation.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/__pycache__/util_annotation.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/test_bytecode.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/test_cfg.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/test_code.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/test_concrete.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/test_flags.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/test_instr.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/test_misc.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/test_peephole_opt.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/bytecode/tests/util_annotation.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/_pydevd_frame_eval/vendored/pydevd_fix_code.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_app_engine_debug_startup.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_coverage.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/README
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__init__.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/__init__.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/__init__.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhook.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhook.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookglut.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookglut.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookgtk.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookgtk.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookgtk3.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookgtk3.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookpyglet.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookpyglet.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookqt4.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookqt4.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookqt5.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookqt5.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhooktk.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhooktk.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookwx.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/inputhookwx.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/matplotlibtools.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/matplotlibtools.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/qt.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/qt.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/qt_for_kernel.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/qt_for_kernel.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/qt_loaders.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/qt_loaders.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/version.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/__pycache__/version.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/inputhook.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/inputhookglut.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/inputhookgtk.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/inputhookgtk3.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/inputhookpyglet.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/inputhookqt4.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/inputhookqt5.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/inputhooktk.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/inputhookwx.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/matplotlibtools.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/qt.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/qt_for_kernel.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/qt_loaders.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_ipython/version.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_pysrc.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_run_in_console.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_sitecustomize/__not_in_default_pythonpath.txt
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_sitecustomize/__pycache__/sitecustomize.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_sitecustomize/__pycache__/sitecustomize.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydev_sitecustomize/sitecustomize.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevconsole.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/README.txt
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/_always_live_program.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/_always_live_program.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/_check.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/_check.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/_test_attach_to_process.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/_test_attach_to_process.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/_test_attach_to_process_linux.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/_test_attach_to_process_linux.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/add_code_to_python_process.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/add_code_to_python_process.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/attach_pydevd.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/attach_pydevd.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/attach_script.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/__pycache__/attach_script.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/_always_live_program.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/_check.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/_test_attach_to_process.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/_test_attach_to_process_linux.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/add_code_to_python_process.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/attach_pydevd.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/attach_script.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/common/py_custom_pyeval_settrace.hpp
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/common/py_custom_pyeval_settrace_310.hpp
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/common/py_custom_pyeval_settrace_311.hpp
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/common/py_custom_pyeval_settrace_common.hpp
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/common/py_settrace.hpp
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/common/py_utils.hpp
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/common/py_version.hpp
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/common/python.h
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/common/ref_utils.hpp
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/linux_and_mac/.gitignore
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/linux_and_mac/__pycache__/lldb_prepare.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/linux_and_mac/__pycache__/lldb_prepare.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/linux_and_mac/attach.cpp
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/linux_and_mac/compile_linux.sh
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/linux_and_mac/compile_mac.sh
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/linux_and_mac/compile_manylinux.cmd
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/linux_and_mac/lldb_prepare.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__init__.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/__init__.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/__init__.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/breakpoint.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/breakpoint.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/compat.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/compat.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/crash.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/crash.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/debug.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/debug.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/disasm.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/disasm.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/event.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/event.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/interactive.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/interactive.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/module.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/module.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/process.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/process.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/registry.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/registry.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/search.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/search.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/sql.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/sql.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/system.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/system.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/textio.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/textio.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/thread.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/thread.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/util.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/util.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/window.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/__pycache__/window.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/breakpoint.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/compat.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/crash.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/debug.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/disasm.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/event.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/interactive.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/module.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/process.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/registry.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/search.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/sql.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/system.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/textio.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/thread.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/util.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__init__.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/__init__.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/__init__.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/advapi32.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/advapi32.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/context_amd64.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/context_amd64.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/context_i386.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/context_i386.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/dbghelp.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/dbghelp.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/defines.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/defines.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/gdi32.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/gdi32.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/kernel32.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/kernel32.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/ntdll.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/ntdll.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/peb_teb.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/peb_teb.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/psapi.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/psapi.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/shell32.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/shell32.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/shlwapi.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/shlwapi.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/user32.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/user32.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/version.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/version.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/wtsapi32.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/__pycache__/wtsapi32.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/advapi32.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/context_amd64.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/context_i386.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/dbghelp.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/defines.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/gdi32.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/kernel32.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/ntdll.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/peb_teb.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/psapi.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/shell32.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/shlwapi.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/user32.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/version.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/win32/wtsapi32.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/winappdbg/window.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/windows/attach.cpp
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/windows/attach.h
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/windows/compile_windows.bat
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/windows/inject_dll.cpp
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/windows/py_win_helpers.hpp
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/windows/run_code_in_memory.hpp
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/windows/run_code_on_dllmain.cpp
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/windows/stdafx.cpp
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/windows/stdafx.h
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_attach_to_process/windows/targetver.h
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_file_utils.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/__init__.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/__pycache__/__init__.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/__pycache__/__init__.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/__pycache__/django_debug.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/__pycache__/django_debug.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/__pycache__/jinja2_debug.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/__pycache__/jinja2_debug.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/__pycache__/pydevd_line_validation.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/__pycache__/pydevd_line_validation.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/django_debug.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/README.md
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/__init__.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/__pycache__/__init__.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/__pycache__/__init__.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/__init__.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/__pycache__/__init__.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/__pycache__/__init__.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/__pycache__/pydevd_helpers.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/__pycache__/pydevd_helpers.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/__pycache__/pydevd_plugin_numpy_types.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/__pycache__/pydevd_plugin_numpy_types.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/__pycache__/pydevd_plugin_pandas_types.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/__pycache__/pydevd_plugin_pandas_types.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/__pycache__/pydevd_plugins_django_form_str.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/__pycache__/pydevd_plugins_django_form_str.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/pydevd_helpers.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/pydevd_plugin_numpy_types.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/pydevd_plugin_pandas_types.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/extensions/types/pydevd_plugins_django_form_str.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/jinja2_debug.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_plugins/pydevd_line_validation.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/pydevd_tracing.py
/ucrt64/lib/python3.11/site-packages/debugpy/_vendored/pydevd/setup_pydevd_cython.py
/ucrt64/lib/python3.11/site-packages/debugpy/_version.py
/ucrt64/lib/python3.11/site-packages/debugpy/adapter/__init__.py
/ucrt64/lib/python3.11/site-packages/debugpy/adapter/__main__.py
/ucrt64/lib/python3.11/site-packages/debugpy/adapter/__pycache__/__init__.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/adapter/__pycache__/__init__.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/adapter/__pycache__/__main__.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/adapter/__pycache__/__main__.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/adapter/__pycache__/clients.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/adapter/__pycache__/clients.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/adapter/__pycache__/components.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/adapter/__pycache__/components.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/adapter/__pycache__/launchers.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/adapter/__pycache__/launchers.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/adapter/__pycache__/servers.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/adapter/__pycache__/servers.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/adapter/__pycache__/sessions.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/adapter/__pycache__/sessions.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/adapter/clients.py
/ucrt64/lib/python3.11/site-packages/debugpy/adapter/components.py
/ucrt64/lib/python3.11/site-packages/debugpy/adapter/launchers.py
/ucrt64/lib/python3.11/site-packages/debugpy/adapter/servers.py
/ucrt64/lib/python3.11/site-packages/debugpy/adapter/sessions.py
/ucrt64/lib/python3.11/site-packages/debugpy/common/__init__.py
/ucrt64/lib/python3.11/site-packages/debugpy/common/__pycache__/__init__.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/common/__pycache__/__init__.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/common/__pycache__/json.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/common/__pycache__/json.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/common/__pycache__/log.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/common/__pycache__/log.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/common/__pycache__/messaging.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/common/__pycache__/messaging.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/common/__pycache__/singleton.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/common/__pycache__/singleton.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/common/__pycache__/sockets.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/common/__pycache__/sockets.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/common/__pycache__/stacks.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/common/__pycache__/stacks.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/common/__pycache__/timestamp.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/common/__pycache__/timestamp.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/common/__pycache__/util.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/common/__pycache__/util.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/common/json.py
/ucrt64/lib/python3.11/site-packages/debugpy/common/log.py
/ucrt64/lib/python3.11/site-packages/debugpy/common/messaging.py
/ucrt64/lib/python3.11/site-packages/debugpy/common/singleton.py
/ucrt64/lib/python3.11/site-packages/debugpy/common/sockets.py
/ucrt64/lib/python3.11/site-packages/debugpy/common/stacks.py
/ucrt64/lib/python3.11/site-packages/debugpy/common/timestamp.py
/ucrt64/lib/python3.11/site-packages/debugpy/common/util.py
/ucrt64/lib/python3.11/site-packages/debugpy/launcher/__init__.py
/ucrt64/lib/python3.11/site-packages/debugpy/launcher/__main__.py
/ucrt64/lib/python3.11/site-packages/debugpy/launcher/__pycache__/__init__.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/launcher/__pycache__/__init__.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/launcher/__pycache__/__main__.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/launcher/__pycache__/__main__.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/launcher/__pycache__/debuggee.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/launcher/__pycache__/debuggee.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/launcher/__pycache__/handlers.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/launcher/__pycache__/handlers.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/launcher/__pycache__/output.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/launcher/__pycache__/output.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/launcher/__pycache__/winapi.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/launcher/__pycache__/winapi.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/launcher/debuggee.py
/ucrt64/lib/python3.11/site-packages/debugpy/launcher/handlers.py
/ucrt64/lib/python3.11/site-packages/debugpy/launcher/output.py
/ucrt64/lib/python3.11/site-packages/debugpy/launcher/winapi.py
/ucrt64/lib/python3.11/site-packages/debugpy/public_api.py
/ucrt64/lib/python3.11/site-packages/debugpy/server/__init__.py
/ucrt64/lib/python3.11/site-packages/debugpy/server/__pycache__/__init__.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/server/__pycache__/__init__.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/server/__pycache__/api.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/server/__pycache__/api.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/server/__pycache__/attach_pid_injected.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/server/__pycache__/attach_pid_injected.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/server/__pycache__/cli.cpython-311.opt-1.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/server/__pycache__/cli.cpython-311.pyc
/ucrt64/lib/python3.11/site-packages/debugpy/server/api.py
/ucrt64/lib/python3.11/site-packages/debugpy/server/attach_pid_injected.py
/ucrt64/lib/python3.11/site-packages/debugpy/server/cli.py
/ucrt64/share/licenses/python-debugpy/LICENSE
Last Update: 2024-03-28 22:29:35 [Request update]